2021 () 4bit up counter (1) 7 segment decoder (1) ACE (1) AHB (1) AI (1) AI accelorator (1) AMBA (1) APB (1) ARM (1) ASIC (14) ASIC Verification engineer (1) ASSP (4) ATPG (2) AXI (1) Assembly Machine language (1) BA (2) Blockchain (1) C (1) CDC (2) CHI (1) CISC (1) CMOS (2) CRPR (1) CTS (1) CV (5) Capacitance (1) Car engine starter altenator (1) Chiplet (1) Clock divider (1) Compiler (1) Computer architecture (1) Computer arcitecture (1) Constraint (1) D-Algorithm (1) DAT (1) DC (1) DDR (1) DDRRAM (1) DEF (1) DFM (1) DFT (6) DFT compiler (1) DK (1) DRC (1) DRT (1) Defect (1) Design Compiler (1) Design Kit (1) Design compiler (1) Digital (1) EEPROM (1) EPROM (1) Error (1) FLOW (1) FPGA (4) Failure (1) Fanout (1) Fault (1) FeRAM (1) Googling tip (1) HDD (1) HDL (2) HEV PHEV EV (1) HPC (1) ISO262262 Electric car PHEV (1) Interpreter (1) LEF (1) Language (1) Lint (1) Low Power (2) Lowpower (1) MBIST (1) MBIST. Multibit (2) MOSFET (2) MRAM (1) Memory sccess (1) Moore (1) NAND (1) NBA (2) NOR (1) NPU (1) OCV (2) PDK (1) PI (1) PIM (1) PODEM (1) PRAM (1) PROM (1) PVT (1) Parallel (1) PhysicalDesign (3) PoRAM (1) PrimeTime (1) Primetime (1) Python (1) RAM (1) RDC (1) RISC (1) RISC-V (1) ROM (1) RTL (2) ReRAM (1) SDF (1) SEDEX (1) SEMICONDUCTOR (1) SEMIFIVE (1) SIFIVE (1) SPEF (1) SRAM (1) SSD (1) STA (3) STDCELL (1) Searching skill (1) Semiconductor (1) Semiconductor Process (1) SoC (7) Synchronous (1) Synopsys (2) TCL (1) TestMAX (1) TetraMAX (1) Transition (1) UFS (1) UPF (1) VLSI (2) Validation (1) Verification (2) Verilog (5) Verilog RTL (1) VerilogHDL (2) bitcoin (1) cloud computing (2) computer science (1) design (1) eMMC (1) edge computing (2) extension (2) grid computing (2) low (1) memory (1) memorycompiler (1) metastable sate (1) power (1) process (1) verilog coding style (1) vi editor (1) vlsi (1) 半导体 (5) 精细工程 (2) 计算机结构 (1) 꿀팁 (1) 나노공정 (2) 디지털 논리 회로 (1) 디지털논리회로 (1) 머신러닝 (1) 무어의법칙 (2) 미세공정 (2) 반도체 (1) 반도체대전 (1) 병럴처리 (1) 병렬 컴퓨팅 (1) 삼성 공장 (1) 삼성 생산 기지 (1) 삼성전자 (1) 설계 (1) 저전력 (2)

 2021 ()

 4bit up counter (1)

클럭 분주기, 4비트 업 카운터, 7세그먼트 디코더 베릴로그 코드

 7 segment decoder (1)

클럭 분주기, 4비트 업 카운터, 7세그먼트 디코더 베릴로그 코드

 ACE (1)

AMBA protocol란? 암바 프로토콜 핵심 정리 AHB, ACE, APB, AXI, CHI 什么是 AMBA 协议? Amba 协议密钥汇总 AHB、ACE、APB、AXI、CHI

 AHB (1)

AMBA protocol란? 암바 프로토콜 핵심 정리 AHB, ACE, APB, AXI, CHI 什么是 AMBA 协议? Amba 协议密钥汇总 AHB、ACE、APB、AXI、CHI

 AI (1)

AI의 미래. Seoul, AI Talk with Andrew Ng(앤드류 응) 요약.

 AI accelorator (1)

NPU란? AI accelerator란? TPU, Neural engine

 AMBA (1)

AMBA protocol란? 암바 프로토콜 핵심 정리 AHB, ACE, APB, AXI, CHI 什么是 AMBA 协议? Amba 协议密钥汇总 AHB、ACE、APB、AXI、CHI

 APB (1)

AMBA protocol란? 암바 프로토콜 핵심 정리 AHB, ACE, APB, AXI, CHI 什么是 AMBA 协议? Amba 协议密钥汇总 AHB、ACE、APB、AXI、CHI

 ARM (1)

AMBA protocol란? 암바 프로토콜 핵심 정리 AHB, ACE, APB, AXI, CHI 什么是 AMBA 协议? Amba 协议密钥汇总 AHB、ACE、APB、AXI、CHI

 ASIC (14)

PDK란? process design kit in vlsi
지능형반도체란? AI 소프트웨어 하드웨어 차이
SoC, ASIC 13문 13답
내가 synopsys EDA tool에서 제일 많이 쓴 커맨드.
SoC 검증에서 DFT란. BIST BIT JTAG SCAN BIRA
AMBA protocol란? 암바 프로토콜 핵심 정리 AHB, ACE, APB, AXI, CHI 什么是 AMBA 协议? Amba 协议密钥汇总 AHB、ACE、APB、AXI、CHI
베릴로그란? Verilog code에서 반도체가 만들어지는 과정, 용어 핵심 정리 什么是 Verilog? 用 Verilog 代码制作半导体的过程,关键术语
Synopsys 파일 확장자. cb13fs120_tsmc_max란?
Stopwatch Verilog RTL code에 DC(Designe Compiler)로 Constraint file 만들고 적용하기! 使用 DC(Design Compiler)创建约束文件并将其应用于秒表 Verilog RTL 代码!
ASIC STA, PI 공부하면서 생긴 궁금증 정리
프로그래밍 잘하는 법. C언어 꿀팁 (오류 코드 줄이는 자잘한 팁들) How to make an errorless C Language 如何擅长编程。 C语言小技巧(减少错误代码的小技巧)
Verilog RTL coding으로 Stopwatch 설계하는법 베릴로그 스톱워치 스탑워치 코드 如何使用 Verilog RTL 编码设计秒表 Verilog 秒表代码
ASIC 반도체 설계 순서 flow ASIC半导体设计时序流程
FPGA, ASIC 차이, SoC, NoC, ASSP 등 개념 핵심 정리 (주문형 반도체 / 범용반도체 / 특수용도 반도체) FPGA、ASIC区别、SoC、NoC、ASSP等概念核心总结(定制半导体/通用半导体/专用半导体)

 ASIC Verification engineer (1)

ASIC Verification Engineer 하는 일, 연봉 검증엔지니어 ASIC验证工程师职位,薪资

 ASSP (4)

지능형반도체란? AI 소프트웨어 하드웨어 차이
SoC, ASIC 13문 13답
내가 synopsys EDA tool에서 제일 많이 쓴 커맨드.
FPGA, ASIC 차이, SoC, NoC, ASSP 등 개념 핵심 정리 (주문형 반도체 / 범용반도체 / 특수용도 반도체) FPGA、ASIC区别、SoC、NoC、ASSP等概念核心总结(定制半导体/通用半导体/专用半导体)

 ATPG (2)

D-Algorithm이란? PODEM알고리즘이란? DFT&ATPG
ATPG란? DFT란? FLOW, Alorithm 핵심 정리. TestMAX, TetraMAX 수행과정

 AXI (1)

AMBA protocol란? 암바 프로토콜 핵심 정리 AHB, ACE, APB, AXI, CHI 什么是 AMBA 协议? Amba 协议密钥汇总 AHB、ACE、APB、AXI、CHI

 Assembly Machine language (1)

컴파일러, 인터프리터 차이. C언어, 파이썬 차이. C/C++, Python Difference 和C语言的区别。

 BA (2)

Verilog HDL에서 NBA(NonBlocking Assignment), BA(Blocking Assignment) 차이.
Verilog HDL에서 조건 연산자간의 쓰임새 차이

 Blockchain (1)

블록체인이란? 비트코인이란? 가상화폐 암호화폐 차이

 C (1)

컴파일러, 인터프리터 차이. C언어, 파이썬 차이. C/C++, Python Difference 和C语言的区别。

 CDC (2)

Metastability와 Clock domain crossing(CDC)란, in vlsi
CDC란, RDC란, Lint란. Clock Domain Crossing, Reset Domain Crossing in vlsi

 CHI (1)

AMBA protocol란? 암바 프로토콜 핵심 정리 AHB, ACE, APB, AXI, CHI 什么是 AMBA 协议? Amba 协议密钥汇总 AHB、ACE、APB、AXI、CHI

 CISC (1)

RISC CISC 차이, 폰노이만 하버드구조 장점 단점 장단점 비교 RISC CISC差异,冯诺依曼哈佛结构优点缺点优缺点对比

 CMOS (2)

STA Tool으로 타이밍을 볼 때 뭘 봐야할까? 라이브러리 캐릭부터 DTA까지
반도체 나노 공정 뜻 의미, CMOS MOSFET 개념 半导体纳米工艺意义,CMOS MOSFET 概念

 CRPR (1)

PVT, OCV, CRPR CPPR이란 in vlsi

 CTS (1)

Clock Tree Synthesis(CTS)의 기본 알고리즘, H-Tree, Fishbone, Mesh, Low Power CTS

 CV (5)

최신 반도체 성능과 전력은 어떻게 표현할까? 그리고 좋은 반도체란 무엇이고 PPA란 무엇일까? TOPS, teraFLOPS 탑스, 테라플롭스, 저전력, 고성능, HPC 반도체
JTAG란?(Joint Test Action Group) Standard, IEEE 1149.1 Standard Test Access Port and Boundary-Scan
AI의 미래. Seoul, AI Talk with Andrew Ng(앤드류 응) 요약.
AI, Deep learning, Macinelearning 관련 대화에 필요한 50가지 개념 용어집
example

 Capacitance (1)

DRC란? Design Rule Constraints란? Unknown, Metasatability 차이

 Car engine starter altenator (1)

자동차의 전원 전자 장치 구성

 Chiplet (1)

Chiplet이란 무엇인가? 반도체 설계 엔지니어 시점에서

 Clock divider (1)

클럭 분주기, 4비트 업 카운터, 7세그먼트 디코더 베릴로그 코드

 Compiler (1)

컴파일러, 인터프리터 차이. C언어, 파이썬 차이. C/C++, Python Difference 和C语言的区别。

 Computer architecture (1)

병렬처리 방법론. 멀티 코어, 멀티 프로세스, 멀티 쓰레드 기법 개념 정리

 Computer arcitecture (1)

RISC CISC 차이, 폰노이만 하버드구조 장점 단점 장단점 비교 RISC CISC差异,冯诺依曼哈佛结构优点缺点优缺点对比

 Constraint (1)

Stopwatch Verilog RTL code에 DC(Designe Compiler)로 Constraint file 만들고 적용하기! 使用 DC(Design Compiler)创建约束文件并将其应用于秒表 Verilog RTL 代码!

 D-Algorithm (1)

D-Algorithm이란? PODEM알고리즘이란? DFT&ATPG

 DAT (1)

STA란, PrimeTime 개념 정리

 DC (1)

Design Compiler流程,DC流核心概念总结

 DDR (1)

메모리 반도체 정리. RAM, ROM 개념부터, 차세대반도체 PRAM, FeRAM, MRAM, ReRAM, PoRAM 소개까지.

 DDRRAM (1)

메모리 반도체 정리. RAM, ROM 개념부터, 차세대반도체 PRAM, FeRAM, MRAM, ReRAM, PoRAM 소개까지.

 DEF (1)

DEF, LEF (내용 추가 필요)

 DFM (1)

Design for Manufacturing, DFM이란(제조 가능성 및 수율 향상을 위한 설계)

 DFT (6)

SDC란? Standard Design Constraint란, Standard Design Constraint란 VLSI, Verilog, 반도체 설계
현대 Low power design. Multibit Flip란 flop in vlsi
DFT, MBIST 하는 이유. 미세공정
D-Algorithm이란? PODEM알고리즘이란? DFT&ATPG
ATPG란? DFT란? FLOW, Alorithm 핵심 정리. TestMAX, TetraMAX 수행과정
SoC 검증에서 DFT란. BIST BIT JTAG SCAN BIRA

 DFT compiler (1)

ATPG란? DFT란? FLOW, Alorithm 핵심 정리. TestMAX, TetraMAX 수행과정

 DK (1)

Design Kit이란? DK란?

 DRC (1)

DRC란? Design Rule Constraints란? Unknown, Metasatability 차이

 DRT (1)

STA란, PrimeTime 개념 정리

 Defect (1)

Fault, Error, Failure, Defect / Verification, Validation 차이

 Design Compiler (1)

DC, DCT, DCG 차이. Synopsys Design Compiler Topographical Graphical Mode Wire Load Model

 Design Kit (1)

Design Kit이란? DK란?

 Design compiler (1)

Design Compiler流程,DC流核心概念总结

 Digital (1)

디지털 공학 정리. 카르노맵, 부울식 정리, 밀리 무어 차이, 동기식 비동기식 D Flip flop, NAND NOR 변환, 카운터 설계

 EEPROM (1)

메모리 반도체 정리. RAM, ROM 개념부터, 차세대반도체 PRAM, FeRAM, MRAM, ReRAM, PoRAM 소개까지.

 EPROM (1)

메모리 반도체 정리. RAM, ROM 개념부터, 차세대반도체 PRAM, FeRAM, MRAM, ReRAM, PoRAM 소개까지.

 Error (1)

Fault, Error, Failure, Defect / Verification, Validation 차이

 FLOW (1)

ASIC 반도체 설계 순서 flow ASIC半导体设计时序流程

 FPGA (4)

지능형반도체란? AI 소프트웨어 하드웨어 차이
SoC, ASIC 13문 13답
내가 synopsys EDA tool에서 제일 많이 쓴 커맨드.
FPGA, ASIC 차이, SoC, NoC, ASSP 등 개념 핵심 정리 (주문형 반도체 / 범용반도체 / 특수용도 반도체) FPGA、ASIC区别、SoC、NoC、ASSP等概念核心总结(定制半导体/通用半导体/专用半导体)

 Failure (1)

Fault, Error, Failure, Defect / Verification, Validation 차이

 Fanout (1)

DRC란? Design Rule Constraints란? Unknown, Metasatability 차이

 Fault (1)

Fault, Error, Failure, Defect / Verification, Validation 차이

 FeRAM (1)

메모리 반도체 정리. RAM, ROM 개념부터, 차세대반도체 PRAM, FeRAM, MRAM, ReRAM, PoRAM 소개까지.

 Googling tip (1)

FPGA, ASIC, VLSI 구글링 팁. 검색 잘하는 법 如何搜索得好,谷歌

 HDD (1)

메모리 반도체 정리. RAM, ROM 개념부터, 차세대반도체 PRAM, FeRAM, MRAM, ReRAM, PoRAM 소개까지.

 HDL (2)

프로그래밍 잘하는 법. C언어 꿀팁 (오류 코드 줄이는 자잘한 팁들) How to make an errorless C Language 如何擅长编程。 C语言小技巧(减少错误代码的小技巧)
Verilog RTL coding으로 Stopwatch 설계하는법 베릴로그 스톱워치 스탑워치 코드 如何使用 Verilog RTL 编码设计秒表 Verilog 秒表代码

 HEV PHEV EV (1)

하이브리드 자동차 종류

 HPC (1)

가장 좋은 반도체 설계에 대한 내 생각 정리. (HPC, Low power)

 ISO262262 Electric car PHEV (1)

전기 자동차 산업 구조 - 에너지

 Interpreter (1)

컴파일러, 인터프리터 차이. C언어, 파이썬 차이. C/C++, Python Difference 和C语言的区别。

 LEF (1)

DEF, LEF (내용 추가 필요)

 Language (1)

컴파일러, 인터프리터 차이. C언어, 파이썬 차이. C/C++, Python Difference 和C语言的区别。

 Lint (1)

CDC란, RDC란, Lint란. Clock Domain Crossing, Reset Domain Crossing in vlsi

 Low Power (2)

병렬 처리) PE란, Processing element란. 개념 및 구조 설명
무어의 법칙 그 다음. 저전력 설계의 시대.

 Lowpower (1)

가장 좋은 반도체 설계에 대한 내 생각 정리. (HPC, Low power)

 MBIST (1)

DFT, MBIST 하는 이유. 미세공정

 MBIST. Multibit (2)

SDC란? Standard Design Constraint란, Standard Design Constraint란 VLSI, Verilog, 반도체 설계
현대 Low power design. Multibit Flip란 flop in vlsi

 MOSFET (2)

STA Tool으로 타이밍을 볼 때 뭘 봐야할까? 라이브러리 캐릭부터 DTA까지
반도체 나노 공정 뜻 의미, CMOS MOSFET 개념 半导体纳米工艺意义,CMOS MOSFET 概念

 MRAM (1)

메모리 반도체 정리. RAM, ROM 개념부터, 차세대반도체 PRAM, FeRAM, MRAM, ReRAM, PoRAM 소개까지.

 Memory sccess (1)

Memory Access, Memory Load & store

 Moore (1)

디지털 공학 정리. 카르노맵, 부울식 정리, 밀리 무어 차이, 동기식 비동기식 D Flip flop, NAND NOR 변환, 카운터 설계

 NAND (1)

메모리 반도체 정리. RAM, ROM 개념부터, 차세대반도체 PRAM, FeRAM, MRAM, ReRAM, PoRAM 소개까지.

 NBA (2)

Verilog HDL에서 NBA(NonBlocking Assignment), BA(Blocking Assignment) 차이.
Verilog HDL에서 조건 연산자간의 쓰임새 차이

 NOR (1)

메모리 반도체 정리. RAM, ROM 개념부터, 차세대반도체 PRAM, FeRAM, MRAM, ReRAM, PoRAM 소개까지.

 NPU (1)

NPU란? AI accelerator란? TPU, Neural engine

 OCV (2)

PVT, OCV, CRPR CPPR이란 in vlsi
OCV, AOCV, POCV, PVT, CRP, CRPR란? On Chip Variation

 PDK (1)

PDK란? process design kit in vlsi

 PI (1)

ASIC STA, PI 공부하면서 생긴 궁금증 정리

 PIM (1)

Process In Memory(PIM) 기존의 메모리 구조 비교 및 개념 설명

 PODEM (1)

D-Algorithm이란? PODEM알고리즘이란? DFT&ATPG

 PRAM (1)

메모리 반도체 정리. RAM, ROM 개념부터, 차세대반도체 PRAM, FeRAM, MRAM, ReRAM, PoRAM 소개까지.

 PROM (1)

메모리 반도체 정리. RAM, ROM 개념부터, 차세대반도체 PRAM, FeRAM, MRAM, ReRAM, PoRAM 소개까지.

 PVT (1)

PVT, OCV, CRPR CPPR이란 in vlsi

 Parallel (1)

병렬처리 방법론. 멀티 코어, 멀티 프로세스, 멀티 쓰레드 기법 개념 정리

 PhysicalDesign (3)

PCIE란, pma, pcs, phy, lane, topology등 설계자 입장에서
Machine Learning이란 무엇인가? 그리고 EDA 업계에선 어떻게 쓰이는가?
CTS란, Clock Tree Synthesis란

 PoRAM (1)

메모리 반도체 정리. RAM, ROM 개념부터, 차세대반도체 PRAM, FeRAM, MRAM, ReRAM, PoRAM 소개까지.

 PrimeTime (1)

STA란, PrimeTime 개념 정리

 Primetime (1)

OCV, AOCV, POCV, PVT, CRP, CRPR란? On Chip Variation

 Python (1)

컴파일러, 인터프리터 차이. C언어, 파이썬 차이. C/C++, Python Difference 和C语言的区别。

 RAM (1)

메모리 반도체 정리. RAM, ROM 개념부터, 차세대반도체 PRAM, FeRAM, MRAM, ReRAM, PoRAM 소개까지.

 RDC (1)

CDC란, RDC란, Lint란. Clock Domain Crossing, Reset Domain Crossing in vlsi

 RISC (1)

RISC CISC 차이, 폰노이만 하버드구조 장점 단점 장단점 비교 RISC CISC差异,冯诺依曼哈佛结构优点缺点优缺点对比

 RISC-V (1)

SiFive, 세미파이브 회사 하는 일, RISC-V 什么是 SEMIFIVE、SIFIVE 公司?

 ROM (1)

메모리 반도체 정리. RAM, ROM 개념부터, 차세대반도체 PRAM, FeRAM, MRAM, ReRAM, PoRAM 소개까지.

 RTL (2)

Verilog HDL에서 NBA(NonBlocking Assignment), BA(Blocking Assignment) 차이.
Verilog HDL에서 조건 연산자간의 쓰임새 차이

 ReRAM (1)

메모리 반도체 정리. RAM, ROM 개념부터, 차세대반도체 PRAM, FeRAM, MRAM, ReRAM, PoRAM 소개까지.

 SDF (1)

STA란, PrimeTime 개념 정리

 SEDEX (1)

SEDEX 2021, 반도체대전 후기

 SEMICONDUCTOR (1)

ASIC 반도체 설계 순서 flow ASIC半导体设计时序流程

 SEMIFIVE (1)

SiFive, 세미파이브 회사 하는 일, RISC-V 什么是 SEMIFIVE、SIFIVE 公司?

 SIFIVE (1)

SiFive, 세미파이브 회사 하는 일, RISC-V 什么是 SEMIFIVE、SIFIVE 公司?

 SPEF (1)

STA란, PrimeTime 개념 정리

 SRAM (1)

메모리 반도체 정리. RAM, ROM 개념부터, 차세대반도체 PRAM, FeRAM, MRAM, ReRAM, PoRAM 소개까지.

 SSD (1)

메모리 반도체 정리. RAM, ROM 개념부터, 차세대반도체 PRAM, FeRAM, MRAM, ReRAM, PoRAM 소개까지.

 STA (3)

OCV, AOCV, POCV, PVT, CRP, CRPR란? On Chip Variation
STA란, PrimeTime 개념 정리
ASIC STA, PI 공부하면서 생긴 궁금증 정리

 STDCELL (1)

Design Kit이란? DK란?

 Searching skill (1)

FPGA, ASIC, VLSI 구글링 팁. 검색 잘하는 법 如何搜索得好,谷歌

 Semiconductor (1)

2021 반도체 시장 정리 半导体市场 市场动态、公司、开发顺序

 Semiconductor Process (1)

반도체 칩 공정 과정 반도체 8대공정 半导体的8大工艺

 SoC (7)

PDK란? process design kit in vlsi
지능형반도체란? AI 소프트웨어 하드웨어 차이
SoC, ASIC 13문 13답
내가 synopsys EDA tool에서 제일 많이 쓴 커맨드.
SoC 검증에서 DFT란. BIST BIT JTAG SCAN BIRA
AMBA protocol란? 암바 프로토콜 핵심 정리 AHB, ACE, APB, AXI, CHI 什么是 AMBA 协议? Amba 协议密钥汇总 AHB、ACE、APB、AXI、CHI
FPGA, ASIC 차이, SoC, NoC, ASSP 등 개념 핵심 정리 (주문형 반도체 / 범용반도체 / 특수용도 반도체) FPGA、ASIC区别、SoC、NoC、ASSP等概念核心总结(定制半导体/通用半导体/专用半导体)

 Synchronous (1)

디지털 공학 정리. 카르노맵, 부울식 정리, 밀리 무어 차이, 동기식 비동기식 D Flip flop, NAND NOR 변환, 카운터 설계

 Synopsys (2)

베릴로그란? Verilog code에서 반도체가 만들어지는 과정, 용어 핵심 정리 什么是 Verilog? 用 Verilog 代码制作半导体的过程,关键术语
Synopsys 파일 확장자. cb13fs120_tsmc_max란?

 TCL (1)

TCL 언어 script 예제. synopysis EDA tool의 기본 TCL 쓰는 이유

 TestMAX (1)

ATPG란? DFT란? FLOW, Alorithm 핵심 정리. TestMAX, TetraMAX 수행과정

 TetraMAX (1)

ATPG란? DFT란? FLOW, Alorithm 핵심 정리. TestMAX, TetraMAX 수행과정

 Transition (1)

DRC란? Design Rule Constraints란? Unknown, Metasatability 차이

 UFS (1)

메모리 반도체 정리. RAM, ROM 개념부터, 차세대반도체 PRAM, FeRAM, MRAM, ReRAM, PoRAM 소개까지.

 UPF (1)

UPF란, (Unified Power Format) IEEE 1801, 저전력 반도체 설계 방법 in vlsi

 VLSI (2)

반도체 디지털 회로 설계 직무 정리 Frontend Backend, RTL, ASIC, FPGA, PI, PD, DT 등..
전자, 반도체에서 말하는 Port란? Pin이란? Port와 Pin의 차이

 Validation (1)

Fault, Error, Failure, Defect / Verification, Validation 차이

 Verification (2)

Fault, Error, Failure, Defect / Verification, Validation 차이
SoC 검증에서 DFT란. BIST BIT JTAG SCAN BIRA

 Verilog (5)

Verilog HDL에서 NBA(NonBlocking Assignment), BA(Blocking Assignment) 차이.
Verilog HDL에서 조건 연산자간의 쓰임새 차이
Stopwatch Verilog RTL code에 DC(Designe Compiler)로 Constraint file 만들고 적용하기! 使用 DC(Design Compiler)创建约束文件并将其应用于秒表 Verilog RTL 代码!
프로그래밍 잘하는 법. C언어 꿀팁 (오류 코드 줄이는 자잘한 팁들) How to make an errorless C Language 如何擅长编程。 C语言小技巧(减少错误代码的小技巧)
Verilog RTL coding으로 Stopwatch 설계하는법 베릴로그 스톱워치 스탑워치 코드 如何使用 Verilog RTL 编码设计秒表 Verilog 秒表代码

 Verilog RTL (1)

흔히 발생하는 Verilog RTL coding 실수

 VerilogHDL (2)

Verilog HDL에서 NBA(NonBlocking Assignment), BA(Blocking Assignment) 차이.
Verilog HDL에서 조건 연산자간의 쓰임새 차이

 bitcoin (1)

블록체인이란? 비트코인이란? 가상화폐 암호화폐 차이

 cloud computing (2)

엣지 컴퓨팅이란? 클라우드 컴퓨팅이란? 엣지컴퓨팅 클라우드컴퓨팅 그리드컴퓨팅 차이 핵심 개념 什么是边缘计算? 什么是云计算? 边缘计算 云计算 网格计算 差异 关键概念
AI, 인공지능, 머신러닝, 딥러닝, 지능형 반도체 핵심 개념 정리. ANN, CNN, DNN, RNN

 computer science (1)

Memory Access, Memory Load & store

 design (1)

저전력 반도체 설계 동향

 eMMC (1)

메모리 반도체 정리. RAM, ROM 개념부터, 차세대반도체 PRAM, FeRAM, MRAM, ReRAM, PoRAM 소개까지.

 edge computing (2)

엣지 컴퓨팅이란? 클라우드 컴퓨팅이란? 엣지컴퓨팅 클라우드컴퓨팅 그리드컴퓨팅 차이 핵심 개념 什么是边缘计算? 什么是云计算? 边缘计算 云计算 网格计算 差异 关键概念
AI, 인공지능, 머신러닝, 딥러닝, 지능형 반도체 핵심 개념 정리. ANN, CNN, DNN, RNN

 extension (2)

베릴로그란? Verilog code에서 반도체가 만들어지는 과정, 용어 핵심 정리 什么是 Verilog? 用 Verilog 代码制作半导体的过程,关键术语
Synopsys 파일 확장자. cb13fs120_tsmc_max란?

 grid computing (2)

엣지 컴퓨팅이란? 클라우드 컴퓨팅이란? 엣지컴퓨팅 클라우드컴퓨팅 그리드컴퓨팅 차이 핵심 개념 什么是边缘计算? 什么是云计算? 边缘计算 云计算 网格计算 差异 关键概念
AI, 인공지능, 머신러닝, 딥러닝, 지능형 반도체 핵심 개념 정리. ANN, CNN, DNN, RNN

 low (1)

저전력 반도체 설계 동향

 memory (1)

memory hierarchy 메모리 계층 구조 정리

 memorycompiler (1)

memory compiler 메모리 설계하는법. ARM Artisan

 metastable sate (1)

CDC란, RDC란, Lint란. Clock Domain Crossing, Reset Domain Crossing in vlsi

 power (1)

저전력 반도체 설계 동향

 process (1)

OCV, AOCV, POCV, PVT, CRP, CRPR란? On Chip Variation

 verilog coding style (1)

Verilog Full case란, Parallel case란, Infer_mux란?

 vi editor (1)

vi editor 사용법 명령어 핵심 정리 vi编辑器使用方法命令汇总

 vlsi (1)

저전력 반도체 설계 동향

 半导体 (5)

Stopwatch Verilog RTL code에 DC(Designe Compiler)로 Constraint file 만들고 적용하기! 使用 DC(Design Compiler)创建约束文件并将其应用于秒表 Verilog RTL 代码!
ASIC STA, PI 공부하면서 생긴 궁금증 정리
프로그래밍 잘하는 법. C언어 꿀팁 (오류 코드 줄이는 자잘한 팁들) How to make an errorless C Language 如何擅长编程。 C语言小技巧(减少错误代码的小技巧)
Verilog RTL coding으로 Stopwatch 설계하는법 베릴로그 스톱워치 스탑워치 코드 如何使用 Verilog RTL 编码设计秒表 Verilog 秒表代码
ASIC 반도체 설계 순서 flow ASIC半导体设计时序流程

 精细工程 (2)

STA Tool으로 타이밍을 볼 때 뭘 봐야할까? 라이브러리 캐릭부터 DTA까지
반도체 나노 공정 뜻 의미, CMOS MOSFET 개념 半导体纳米工艺意义,CMOS MOSFET 概念

 计算机结构 (1)

RISC CISC 차이, 폰노이만 하버드구조 장점 단점 장단점 비교 RISC CISC差异,冯诺依曼哈佛结构优点缺点优缺点对比

 꿀팁 (1)

내가 아는 컴퓨터 구조 개념 공부, 산업 동향 알기 좋은 사이트?

 나노공정 (2)

STA Tool으로 타이밍을 볼 때 뭘 봐야할까? 라이브러리 캐릭부터 DTA까지
반도체 나노 공정 뜻 의미, CMOS MOSFET 개념 半导体纳米工艺意义,CMOS MOSFET 概念

 디지털 논리 회로 (1)

디지털 공학 정리. 카르노맵, 부울식 정리, 밀리 무어 차이, 동기식 비동기식 D Flip flop, NAND NOR 변환, 카운터 설계

 디지털논리회로 (1)

베릴로그란? Verilog code에서 반도체가 만들어지는 과정, 용어 핵심 정리 什么是 Verilog? 用 Verilog 代码制作半导体的过程,关键术语

 머신러닝 (1)

Error Backpropagation 유도하기

 무어의법칙 (2)

STA Tool으로 타이밍을 볼 때 뭘 봐야할까? 라이브러리 캐릭부터 DTA까지
반도체 나노 공정 뜻 의미, CMOS MOSFET 개념 半导体纳米工艺意义,CMOS MOSFET 概念

 미세공정 (2)

STA Tool으로 타이밍을 볼 때 뭘 봐야할까? 라이브러리 캐릭부터 DTA까지
반도체 나노 공정 뜻 의미, CMOS MOSFET 개념 半导体纳米工艺意义,CMOS MOSFET 概念

 반도체 (1)

ASIC 반도체 설계 순서 flow ASIC半导体设计时序流程

 반도체대전 (1)

SEDEX 2021, 반도체대전 후기

 병럴처리 (1)

병렬처리 방법론. 멀티 코어, 멀티 프로세스, 멀티 쓰레드 기법 개념 정리

 병렬 컴퓨팅 (1)

병렬처리 방법론. 멀티 코어, 멀티 프로세스, 멀티 쓰레드 기법 개념 정리

 삼성 공장 (1)

삼성전자 회사 위치, 생산기지, 전체 공장 현황

 삼성 생산 기지 (1)

삼성전자 회사 위치, 생산기지, 전체 공장 현황

 삼성전자 (1)

삼성전자 회사 위치, 생산기지, 전체 공장 현황

 설계 (1)

ASIC 반도체 설계 순서 flow ASIC半导体设计时序流程

 저전력 (2)

병렬 처리) PE란, Processing element란. 개념 및 구조 설명
무어의 법칙 그 다음. 저전력 설계의 시대.